Prepfully logo
  • Browse Coaches
  • Login
BetaTry Out Our New AI Mock Interviewer – Your Smartest Way to Ace Any Interview!Try Our AI Mock Interviewer
Try Now
NewRegister as a coach and get a $100 bonus on your first completed session if you're on the Prepfully Request for Coaches list.Coach $100 Bonus
Read More
LimitedSummer Deal: Heavy discounts on all Prepfully sessions.Summer Deal: Discounts
Book Now

Your AI Wingman for your next interview

The most comprehensive bank Interview Answer Review tooling available online.

Cutting-edge AI technology meets personalized feedback. Improve your interview answers with insightful guidance provided by a model trained against more than a million human-labelled interview answers.
  • Company rubrics
  • Role-level optimisations
  • Trained on 1mil+ answers
CircuitsDesign
2 years ago
How would you go about designing a multi-bit FIFO circuit?
Design Verification Engineer

Oppo

Northrop Grumman

Taiwan Semiconductor

Get answer reviewed by AI
2 years ago
Technical
2 years ago
Can you explain the purpose of the factory in UVM?
Design Verification Engineer

Oppo

Realtek

Qualcomm

Get answer reviewed by AI
2 years ago
Verilog Coding
2 years ago
In System Verilog, what's your technique for ensuring through an SVA that an input signal does not breach setup and hold time norms?
Design Verification Engineer

Oppo

Mayo Clinic Logo

Mayo Clinic

Qualcomm

property check_setup_hold;

  logic clk, rst;

  logic data, data_en;  // Input data and its enable signal



  @(posedge clk)

  disable iff (rst) 

  $rose(data_en) |-> 

      ##[Setup_Time:Setup_Time] data_stable  ##1 

      data_stable throughout $fell(data_en) ##[Hold_Time:Hold_Time]; 

endproperty 



sequence data_stable;

  (data == 1'b0) || (data == 1'b1); 

endsequence



assert property (check_setup_hold(clk, rst, data, data_en));

Get answer reviewed by AI
2 years ago
Behavioral
2 years ago
What has driven you to seek new employment opportunities now?
Design Verification EngineerEmbedded Engineer

Oppo

Razer Logo

Razer

Arrow Electronics Logo

Arrow Electronics

I’ve spent the last few years working in fast-paced startup environments, building financial infrastructure systems—things like secure transaction pipelines, internal tooling, and real-time data processing. It was a great experience that gave me strong ownership over the entire engineering lifecycle, from design to deployment.

Now, I’m looking to take the next step by joining a larger-scale engineering organization like Amazon, where I can work on highly reliable, distributed systems with broader impact. I’m especially drawn to Amazon’s engineering standards, mentorship opportunities, and the chance to contribute to systems that operate at global scale.

Ultimately, I’m motivated by solving hard problems with high stakes, and I want to grow as a builder by learning from experienced engineers and contributing to long-term infrastructure projects that matter.

Get answer reviewed by AI
2 years ago
Design
2 years ago
How does parasitic resistance impact VLSI design?
Design Verification Engineer

Oppo

NXP Semiconductors Logo

NXP Semiconductors

Prysmian Group Logo

Prysmian Group

Get answer reviewed by AI
2 years ago
Technical
2 years ago
What separates a flip flop from a latch in terms of functionality?
Design Verification Engineer

Oppo

Polaris Industries Logo

Polaris Industries

Honeywell Logo

Honeywell

Get answer reviewed by AI
2 years ago
Behavioral
2 years ago
Can you describe a scenario where you showed extraordinary commitment to a project?
Design Verification EngineerEmbedded Engineer

Oppo

Lockheed Martin Logo

Lockheed Martin

Sumitomo Electric Logo

Sumitomo Electric

Get answer reviewed by AI
2 years ago
Behavioral
2 years ago
Could you talk about a moment when you had to process and respond to negative feedback?
Design Verification EngineerEmbedded Engineer

Oppo

AT&T Logo

AT&T

Magneti Marelli Logo

Magneti Marelli

Get answer reviewed by AI
2 years ago
Circuits
2 years ago
How is the output of a pulse generator with a 2-input NAND gate and input delays from inverters influenced by the input timing diagram?
Design Verification Engineer

Oppo

Lattice Semiconductor Logo

Lattice Semiconductor

Thermo Fisher Scientific Logo

Thermo Fisher Scientific

In a pulse generator circuit using a 2-input NAND gate and inverters with propagation delays, the output pulse timing can be understood through the following steps:



Understanding NAND Gate Behavior:



A 2-input NAND gate outputs a LOW (0) when both inputs are HIGH (1); otherwise, it outputs HIGH (1).

Input Timing Diagram:



Let's denote the two inputs to the NAND gate as 

𝐴

A and 

𝐵

B.

Assume 

𝐴

A and 

𝐵

B have some input pulse timings (HIGH and LOW transitions) over time.

Propagation Delay of Inverters:



Inverters introduce a small delay in propagating the input signal to their output. This delay could be denoted as 

𝜏

τ (tau).

Output Timing Diagram:



Initially, when both inputs 

𝐴

A and 

𝐵

B are LOW (0), the NAND gate output will be HIGH (1).

When one of the inputs (say 

𝐴

A) transitions from LOW to HIGH (0 to 1):

Due to the propagation delay 

𝜏

τ, the output of the corresponding inverter connected to 

𝐴

A will change with a delay.

This delay causes a brief moment where the NAND gate sees one input (from 

𝐵

B) as HIGH and the other (from 

𝐴

A) as still LOW (since 

𝐴

A is transitioning).

Therefore, the NAND gate output will momentarily go LOW (0) during this transition period.

As 

𝐴

A completes its transition to HIGH, the output of the NAND gate will go HIGH (1) again.

Output Pulse Generation:



The circuit can be designed such that the momentary LOW (0) output from the NAND gate, caused by the input transition of 

𝐴

A, generates a pulse.

This pulse width is determined by the propagation delay 

𝜏

τ of the inverters.

Subsequent transitions of 

𝐵

B or 

𝐴

A will create additional pulses, depending on their timing relative to each other and considering the propagation delays.

Summary:



The output of the pulse generator circuit will reflect the timing of the input transitions, modified by the propagation delays of the inverters.

Each transition of the inputs 

𝐴

A and 

𝐵

B can potentially generate a brief pulse at the output of the NAND gate, depending on their timing and the circuit design.

Get answer reviewed by AI
2 years ago
Technical
2 years ago
Could you guide me through your approach to a test plan in design verification?
Design Verification Engineer

Oppo

Yamaha Motor Corporation Logo

Yamaha Motor Corporation

AIRBUS Logo

AIRBUS

Initially i will think of basic scenarios possible by understanding the design documents. For example if its a memory to be verified i will think about below basic tests:

1. Reset

2. Random read

3. Random write

4. Read and write for different address at the same time

Post these tests i will think of directed tests for better corner cases:

1. Odd address write/read

2. Even address write/read

3. Empty location read

4. Rewriting in the same location

Get answer reviewed by AI
2 years ago

Try Free AI Interview

OPPO logo

OPPO

Software Engineer

Prepare for Behavioral interview at OPPO

Behavioral
OPPO logo

OPPO

Product Manager

Prepare for Product Strategy interview at OPPO

Product Strategy
OPPO logo

OPPO

Engineering Manager

Prepare for System Design interview at OPPO

System Design
OPPO logo

OPPO

Data Scientist

Prepare for DS Analytical Execution interview at OPPO

DS Analytical Execution

Question of the week

We'll send you a weekly question to practice for:

Showing 81 to 90 of 184 results

Previous7891011Next

*All interview questions are submitted by recent Oppo candidates, labelled and categorized by Prepfully, and then published after verification by current and ex-Oppo employees.

  • Company
  • FAQs
  • Contact Us
  • Become An Expert
  • Services
  • Practice Interviews
  • Interview Guides
  • Interview Questions
  • Watch Recorded Interviews
  • Gift sessions
  • AI Interview
  • Social
  • Twitter
  • Facebook
  • LinkedIn
  • YouTube
  • Legal
  • Terms & Conditions
  • Privacy Policy
  • Illustrations by Storyset

© 2025 Prepfully. All rights reserved.

Prepfully logo

Please log in to view more questions.

Not a member yet? Sign up for free.