Verilog Coding

How would you design an SVA in System Verilog to verify a signal switches from 0 to 1 prior to another signal's transition from 1 to 0?

Design Verification Engineer

Google

Meta

Acer

Lockheed Martin

ByteDance

Arm

Did you come across this question in an interview?

Answers

Anonymous

4 months ago
4.6Exceptional
property transition_order;
    logic sig1, sig2;
    @(posedge clk) 
    disable iff (rst)  // Disable assertion during reset
    (sig1 == 0) ##1 (sig1 == 1) |-> ##[1:$] (sig2 == 1) ##1 (sig2 == 0); 
endproperty

assert property (transition_order(sig1, sig2));

Anonymous

5 months ago
3.2Strong
write an assertion like assert( signal1 ==0 iff rose(signal2))
  • Can you demonstrate how to construct a System Verilog Assertion that validates a signal's shift from 0 to 1 occurs before a different signal changes from 1 to 0?
  • Can you detail the process of setting up an SVA in System Verilog to ensure a signal's rise from 0 to 1 before another's descent from 1 to 0?
  • Could you craft an SVA in System Verilog to confirm the sequence of a signal going from 0 to 1 before another drops from 1 to 0?
  • How do you construct an SVA in System Verilog to check a signal's transition from low to high before another goes from high to low?
  • How would you design an SVA in System Verilog to verify a signal switches from 0 to 1 prior to another signal's transition from 1 to 0?
  • How would you frame an SVA in System Verilog to validate the timing order of a signal going from 0 to 1, preceding another's switch from 1 to 0?
  • In System Verilog, how do you ensure through an SVA that one signal goes from 0 to 1 before another reverses from 1 to 0?
  • In System Verilog, how would you write an assertion to guarantee a signal's escalation from 0 to 1 happens before another dips from 1 to 0?
  • In System Verilog, what's your method for assuring through an SVA that a signal climbs from 0 to 1 before another signal drops from 1 to 0?
  • What approach would you take to formulate an SVA in System Verilog that certifies a signal's transition from 0 to 1 precedes another's fall from 1 to 0?
  • Write an SVA (System Verilog Assertion) to ensure that a signal transitions from 0 to 1 before another signal goes from 1 to 0.

Interview question asked to Design Verification Engineers interviewing at Google, BAE Systems, Skyworks Solutions and others: How would you design an SVA in System Verilog to verify a signal switches from 0 to 1 prior to another signal's transition from 1 to 0?.