Verilog Coding

How would you compose an SVA in System Verilog to verify an input signal adheres to setup and hold time constraints?

Design Verification Engineer

Google

ZTE

Samsung Electronics

Skyworks Solutions

NEC

Fujitsu

Did you come across this question in an interview?

Answers

Anonymous

7 months ago
4.5Exceptional
property check_setup_hold;
  logic clk, rst;
  logic data, data_en;  // Input data and its enable signal

  @(posedge clk)
  disable iff (rst) 
  $rose(data_en) |-> 
      ##[Setup_Time:Setup_Time] data_stable  ##1 
      data_stable throughout $fell(data_en) ##[Hold_Time:Hold_Time]; 
endproperty 

sequence data_stable;
  (data == 1'b0) || (data == 1'b1); 
endsequence

assert property (check_setup_hold(clk, rst, data, data_en));

Anonymous

7 months ago
3.8Strong
assert property @change(sig1); time t1= $time; |->$stable(sig1) && $rose(clk); t1- $time == setup_time; |-> $stable(sig1) ; $time - t1+ setup_time >= hold_time;


  • How would you compose an SVA in System Verilog to verify an input signal adheres to setup and hold time constraints?
  • Can you demonstrate how to construct an SVA in System Verilog that enforces setup and hold time compliance for an input signal?
  • In System Verilog, how do you create an SVA to check that an input signal meets setup and hold time requirements?
  • Could you devise an SVA in System Verilog to confirm an input signal's compliance with setup and hold timings?
  • What strategy would you use to build an SVA in System Verilog ensuring setup and hold time adherence for an input signal?
  • How do you craft an SVA in System Verilog to monitor an input signal's adherence to setup and hold times?
  • In System Verilog, how would you establish an assertion to maintain setup and hold time requirements for an input signal?
  • Can you outline a method for setting up an SVA in System Verilog to safeguard against setup and hold time violations by an input signal?
  • How would you formulate an SVA in System Verilog to validate an input signal's compliance with setup and hold times?
  • In System Verilog, what's your technique for ensuring through an SVA that an input signal does not breach setup and hold time norms?
  • Write an SVA (System Verilog Assertion) to ensure that an input signal does not violate a setup or hold time requirement.
Try Our AI Interviewer

Prepare for success with realistic, role-specific interview simulations.

Try AI Interview Now

Interview question asked to Design Verification Engineers interviewing at Google, NEC, Continental and others: How would you compose an SVA in System Verilog to verify an input signal adheres to setup and hold time constraints?.