Expert Answer
Anonymous
property check_setup_hold;
logic clk, rst;
logic data, data_en; // Input data and its enable signal
@(posedge clk)
disable iff (rst)
$rose(data_en) |->
##[Setup_Time:Setup_Time] data_stable ##1
data_stable throughout $fell(data_en) ##[Hold_Time:Hold_Time];
endproperty
sequence data_stable;
(data == 1'b0) || (data == 1'b1);
endsequence
assert property (check_setup_hold(clk, rst, data, data_en));