How would you compose an SVA in System Verilog to verify an input signal adheres to setup and hold time constraints?

Free for the first 3 answer contributors

Answers

Anonymous

a month ago

Interview question asked to Design Verification Engineers interviewing at Harley-Davidson, Continental, NEC and others: How would you compose an SVA in System Verilog to verify an input signal adheres to setup and hold time constraints?.