How would you draft an SVA in System Verilog to prevent transaction initiation during an active reset signal?

Free for the first 3 answer contributors

Interview question asked to Design Verification Engineers interviewing at Philips, Microchip Technology, Legrand and others: How would you draft an SVA in System Verilog to prevent transaction initiation during an active reset signal?.