Verilog Coding
How would you draft an SVA in System Verilog to prevent transaction initiation during an active reset signal?
Design Verification Engineer
Akamai
Philips
IBM
Zoox
Varian Medical Systems
Answers
Anonymous
6 months ago
property no_transaction_during_reset;
logic rst;
logic transaction_start; // Signal indicating the start of a transaction
@(posedge clk)
disable iff (rst) // Disable the assertion during reset itself
(rst) |-> ##1 (!transaction_start);
endproperty
assert property (no_transaction_during_reset(rst, transaction_start));
Interview question asked to Design Verification Engineers interviewing at Zoox, BMW Group, Lam Research and others: How would you draft an SVA in System Verilog to prevent transaction initiation during an active reset signal?.