Expert Answer
Anonymous
property no_transaction_during_reset;
logic rst;
logic transaction_start; // Signal indicating the start of a transaction
@(posedge clk)
disable iff (rst) // Disable the assertion during reset itself
(rst) |-> ##1 (!transaction_start);
endproperty
assert property (no_transaction_during_reset(rst, transaction_start));